CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 正弦

搜索资源列表

  1. fpga

    1下载:
  2. VHDL写的fpga程序,可产生三角波,方波据此波,正弦波,可实现任意频偏的调频,调相,调幅-Fpga write VHDL program can generate triangle wave, square wave accordingly wave, sine wave, can achieve any frequency offset of the FM, PM, AM
  3. 所属分类:驱动编程

    • 发布日期:2013-05-06
    • 文件大小:12225227
    • 提供者:陈俊涵
  1. cos

    0下载:
  2. FPGA实现正弦,余弦的计算,verilog语言-FPGA realization of sine, cosine calculation, verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:812
    • 提供者:霍东建
  1. fpga-fpdpsk

    0下载:
  2. FSK/PSK调制顶层文件 ,正弦波模块 ,正弦波模块初始化文件 ,振幅调整及波形选择模块 ,频率显示值地址产生模块 ,频率步进键核心模块 ,弹跳消除电路-FSK/PSK modulation top-level documents, sine-wave modules, module initialization file sine wave, amplitude adjustment and waveform selection module, the freque
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:27490
    • 提供者:libing
  1. FPGAdezizhixingSPWMboChengXu

    0下载:
  2. 基于FPGA的自治型SPWM波形发生器的设计!正弦脉宽调制(SPWM)技术在以电压源逆变电路为核心的电力电子装置中有着广泛的应用,如何产生SPWM脉冲序列及其实现手段是PWM技术的关键。大家共同探讨哈!-FPGA based SPWM autonomy-based waveform generator design! Sinusoidal pulse width modulation (SPWM) technology in the voltage source inverter circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:4576
    • 提供者:小喻
  1. 16

    0下载:
  2. dds应用主要产生正弦波形,可以自己稍加修改即可-dds applications arising primarily from the sinusoidal waveform, can be slightly modified
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:4632
    • 提供者:冯刚
  1. dds

    0下载:
  2. 实现数字频率合成。能产生任意频率的正弦信号、方波信号、梯形波等,并且能对信号的频率进行测量。-Digital frequency synthesizer. Can generate any frequency sinusoidal signal, square-wave signal, a trapezoidal wave, etc., and can measure the frequency of the signal.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:278374
    • 提供者:吴健
  1. clk

    0下载:
  2. 现代电子系统课程设计 基于DDS技术利用VHDL设计并制作一个数字式移相信号发生器。 (1)基本要求: a.频率范围:1Hz~4kHz,频率步进为1Hz,输出频率可预置。 b.A、B两路正弦信号输出,10位输出数据宽度 c.相位差范围为0~359°,步进为1.4°,相位差值可预置。 d.数字显示预置的频率(10进制)、相位差值。 (2)发挥部分 a.修改设计,增加幅度控制电路(如可以用一乘法器控制输出幅度)。 b.输出幅度峰峰值0.1~3.0V,步距0
  3. 所属分类:Windows Kernel

    • 发布日期:2017-03-28
    • 文件大小:174787
    • 提供者:耳边
  1. sin125

    0下载:
  2. 用FPGA实现DDS的信号发生器(正弦波125kHz)-Using FPGA to achieve DDS signal generator (sine wave 125kHz)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:197270
    • 提供者:杜海明
  1. bxfsq

    0下载:
  2. 波形发生器的代码,具有产生正弦波、方波、三角波的功能。-Waveform Generator code has generated sine wave, square, triangle-wave function.
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:16831
    • 提供者:李仁刚
  1. jiyuVHDLyuyandehanshuxinghaofashengqi

    0下载:
  2. 好用的函数信号发生器,能产生多种波形,例如,正弦波,方波,锯齿波,阶梯波。-Useful function signal generator, can produce a variety of waveforms, for example, sine wave, square wave, sawtooth, wave ladder.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1794
    • 提供者:sdfs
  1. wave_produce_VHDL

    0下载:
  2. --文件名:mine4.vhd。 --功能:实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 --说明: SSS(前三位)和SW信号控制4种常见波形种哪种波形输出。4种波形的频率、 --幅度(基准幅度A)的调节均是通过up、down、set按键和4个BCD码置入器以及一 --个置入档位控制信号(ss)完成的(AMP的调节范围是0~5V,调节
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:10315
    • 提供者:huangsong
  1. high_speed_tap8_DDS

    0下载:
  2. 用verilog编写的高速8路并行dds模块,用于与高速da(1ghz或以上)接口产生任意频率正弦波,模块已经经过工程验证,用于产品中。-Verilog prepared with high-speed 8-way parallel dds modules for use with high-speed da (1ghz or above) interface have any frequency sine wave, the module has been proof for the prod
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4947
    • 提供者:yangyu
  1. VHDL

    0下载:
  2. 能够产生方波、三角波、正弦波、及阶梯波,并且可以通过选择开关选择相应的频率输出和波形输出;通过按键确定输出的波形及确定是否输出波形.-Able to generate square wave, triangle wave, sine wave, and wave ladder, and can select the appropriate choice of switching frequency output and the waveform output through the keys
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-09
    • 文件大小:418131
    • 提供者:刘镜安
  1. freqm

    0下载:
  2. 以CPLD器件EPM7128SLC84-15为核心实现的简易数字频率计,采用在一定时间内对数字脉冲计数的方法,可直接测量TTL电平的数字脉冲信号的频率、周期和脉宽。其他一些信号可经过信号预处理电路变换后测量。 量程:1Hz~999999Hz 输入信号:(1)TTL电平数字脉冲信号;(2)方波/正弦波,幅度0.5~5V 显示:七段数码管显示频率(Hz)和周期/脉宽(us) 控制:两个拨码开关切换三种工作模式:测频率,测周期,测脉宽-Frequency Counter realize
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1054140
    • 提供者:tom
  1. signal_generator

    0下载:
  2. 基于vhdl的多功能函数信号发生器的设计,能实现三角波、方波、正弦波。-VHDL-based multi-function signal generator design, can achieve the triangular wave, square wave, sine wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:784
    • 提供者:tony
  1. sin

    0下载:
  2. 正弦信号发生器源文件实现正弦信号发生器,非常有用,欢迎下载。-Sinusoidal signal generator source file achieve sinusoidal signal generator, very useful and welcome to download.
  3. 所属分类:Compiler program

    • 发布日期:2017-04-07
    • 文件大小:640
    • 提供者:lee
  1. Sine

    0下载:
  2. 正弦波发生器,可以让大家学习正弦多种产生方法,可以设计具体电路-Sine wave generator, allowing them to learn the method for multiple sinusoidal, can design a specific circuit
  3. 所属分类:Other systems

    • 发布日期:2017-05-04
    • 文件大小:1027758
    • 提供者:秦寅
  1. DDS-baseddesignofthesinusoidalsignalgenerator

    0下载:
  2. 本设计采用AT89552单片机,辅以必要的模拟电路,实现了一个基于直接数字频率合成技术(DDS)的正弦谊号发生器。设计中采用DDS芯片AD9850产生频率1KHZ~10MHZ范围内正弦波,采用功放AD811控制输出电压幅度, 由单片机AT89S52控制调节步进频率1HZ。在此基础上,用模拟乘法器MC1496实现了正弦调制信号频率为1KHZ的模拟相度调制信号;用FPGA芯片产生二进制NRZ码,与AD9850结合实现相移键控PSK、幅移键控ASK、频移镇键FSK。-AT89552 the singl
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:209208
    • 提供者:何蓓
  1. filter

    0下载:
  2. 数控振荡器用于产生可控的正弦波或余弦波。其实现的方法是查表法-CNC controlled oscillator used to generate the sine or cosine wave. Approach is the realization of look-up table method
  3. 所属分类:Multimedia program

    • 发布日期:2017-04-23
    • 文件大小:135331
    • 提供者:司令
  1. vhdl

    0下载:
  2. 信号与线性系统的时频域分析:观测已知方波信号、正弦波信号的频谱;观测实时模拟信号的频谱;加深理解时域周期信号的各频率分量在振幅频谱图上所占的比重;观测相位在波形合成中的作用;LTI系统的频域分析,LTI系统对周期性输入信号的响应。-Signals and linear systems with time-frequency domain analysis: observation known square wave signal, sine wave signal spectrum obser
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:7521
    • 提供者:无语
« 1 2 34 5 6 7 8 9 10 ... 13 »
搜珍网 www.dssz.com